CPLD Max II Blink Led


¡Hola!

Los novedosos CPLD que se están empezando a usar no solo por su fácil acceso y costo, sino también que en esta tarjetita permite analizar y comprobar laboratorios.
En esta ocasión encenderemos  un led conectado a esta tarjeta.

Figura 1. MAX II EPM240, Altera

El código a implementar para un led usando Verilog es el siguiente:

module ledblink(clk,led);
input clk;
output led;
reg led;

reg[23:0] cnt;

always @(posedge clk) begin 
   cnt<= cnt + 1'b1; 
   led<=cnt[23];
end

endmodule    

Ahora para conectar el pin buscamos en el datasheet: Datasheet EPM240
Podemos probar con el Pin_B1 ya programar debe funcionar.

Figura 2. Pin planner

Ahora si en vez de 1 sean 3 o mas leds se debe modificar el código de la siguiente manera y asignar otros pines:


Figura 3. Blink 3 Leds y pin planer

Y luego de programar debemos ver algo así: 


Éxitos.




Maryori Sabalza Mejía
Cartagena-Colombia


Comentarios

  1. Buenos dias Maryori S.
    Estor empezando a realizar un proyecto con el MAX II EPM240 de Altera. Queria preguntarte si trae salidas o entradas PWM. Buscando en su datasheet no pude encontrar nada al respecto. Espero tus pronta respuesta.
    Favor de responderme: acohailab@hotmail.com

    Saludos

    Alberto
    Peru.

    ResponderBorrar
    Respuestas
    1. Que tal Alberto:
      Acabo de comprarme una EPM240 pero estoy en ceros, pero muy interesado en aprender sobre este tema.

      Borrar

Publicar un comentario

Entradas más populares de este blog

Max II EPM240T100C5 CPLD Altera- Music Buzzer