Max II EPM240T100C5 CPLD Altera- Music Buzzer

Hola!

Decidí continuar probando el pequeño CPLD MAX II de Altera, hace algún tiempo probé con un blink de leds (aqui: CPLDblinkled) aprovechando los recursos web me encontré con un mega tutorial de fpga4fun, quienes con una pequeña FPGA realizan diferentes proyectos.

Aprovechando los códigos de verilog del tutorial MusicBox, quise probarlos usando el MAX II.
Figura 1. MAX II EPM240T100C5, Altera

Materiales: 
-Buzzer
-CPLD

El resultado en el vídeo, a continuación:




Exitos!

Comentarios

Entradas más populares de este blog

CPLD Max II Blink Led